Back

defconversion (clj)

(source)

macro

(defconversion case-label first-fn rest-fn sep)

Examples